Ja aber das ist ja Aufg 1a) Ich aber brauche die zu 1c) und beide sind .vhd adder4.vhd und tb_adder4.vhd Ausserdem halt noch den besagten Ausschnitt in dem die Rechnung vorkommtDieser Post wurde am 25.07.2004 um 20:02 Uhr von Tommi Bisic editiert.
Ich sehe da kein Problem. Diese Lösung ist imho richtig -- A! Elbereth Gilthoniel! silivren penna míriel o menel aglar elenath, Gilthoniel, A! Elbereth!
Ja schon, aber welche verlangt der prof jetzt bei der Aufgabe 1c) adder4.vhd? tb_adder4.vhd? oder beide? gehören beide zusammen? Was ist mit dem Ausschnitt der Simulation, wo die Rechnung 'A'+'8'='12' (h) dargestellt wird?Wie bekomme ich den?
--Deklarationsteil-------------------------------------- component adder4 port (a,b : in std_logic_vector(3 downto 0); s: out std_logic_vector(4 downto 0));
deshalb -- A! Elbereth Gilthoniel! silivren penna míriel o menel aglar elenath, Gilthoniel, A! Elbereth!Dieser Post wurde am 25.07.2004 um 20:14 Uhr von Pablo editiert.
was willst du wissen?/Wie kann ich helfen? -- A! Elbereth Gilthoniel! silivren penna míriel o menel aglar elenath, Gilthoniel, A! Elbereth!Dieser Post wurde am 25.07.2004 um 20:23 Uhr von Pablo editiert.
das was bei Aufg 1c) als zweites verlangt wir nämlich - Ein Ausschnitt aus der Simulation, in der die Rechnung "1010"+"1000"="10010" (binär) bzw. „A“ + „8“ = „12“ (hexadezimal) zu sehen ist.
Wie bekomme ich diesen Auschnitt mit meinem Programm? Muss ich da was am Code ändern?
hmmm, ich verstehe nicht ganz, was dein Proff damit meint. Ich hab Simulationen mit anderen Programmen gemacht. Aber ich glaube nicht, dass du etwas änderst sollst. -- A! Elbereth Gilthoniel! silivren penna míriel o menel aglar elenath, Gilthoniel, A! Elbereth!
Ok, kannste mir dann vielleicht sagen bei der Aufg 2a) mit dem Moore-SW Was als Ausgang bei pre_le idle und pre_ri steht? Ich denke bei left muss es 00 sein bei right 11.
tut mir leid, ich kapiere die Aufgabe auch nicht ganz. Wie breit soll data sein? -- A! Elbereth Gilthoniel! silivren penna míriel o menel aglar elenath, Gilthoniel, A! Elbereth!