Herzlich Willkommen, lieber Gast!
  Sie befinden sich hier:

  Forum » Allgemeines (OffTopic) » Adierer ('A'+'8'='12 (hexadez.))

Forum | Hilfe | Team | Links | Impressum | > Suche < | Mitglieder | Registrieren | Einloggen
  Quicklinks: MSDN-Online || STL || clib Reference Grundlagen || Literatur || E-Books || Zubehör || > F.A.Q. < || Downloads   

Autor Thread - Seiten: [ 1 ] [ 2 ] > 3 < [ 4 ]
020
25.07.2004, 20:00 Uhr
Tommi Bisic



Ja aber das ist ja Aufg 1a)
Ich aber brauche die zu 1c) und beide sind .vhd
adder4.vhd und tb_adder4.vhd
Ausserdem halt noch den besagten Ausschnitt in dem die Rechnung vorkommt

Dieser Post wurde am 25.07.2004 um 20:02 Uhr von Tommi Bisic editiert.
 
Profil || Private Message || Suche Download || Zitatantwort || Editieren || Löschen || IP
021
25.07.2004, 20:04 Uhr
Pablo
Supertux
(Operator)


Ich sehe da kein Problem. Diese Lösung ist imho richtig
--
A! Elbereth Gilthoniel!
silivren penna míriel
o menel aglar elenath,
Gilthoniel, A! Elbereth!
 
Profil || Private Message || Suche Download || Zitatantwort || Editieren || Löschen || IP
022
25.07.2004, 20:12 Uhr
Tommi Bisic



Ja schon, aber welche verlangt der prof jetzt bei der Aufgabe 1c)
adder4.vhd?
tb_adder4.vhd?
oder beide?
gehören beide zusammen?
Was ist mit dem Ausschnitt der Simulation, wo die Rechnung 'A'+'8'='12' (h)
dargestellt wird?Wie bekomme ich den?
 
Profil || Private Message || Suche Download || Zitatantwort || Editieren || Löschen || IP
023
25.07.2004, 20:13 Uhr
Pablo
Supertux
(Operator)


Beide, weil tb_... die Fatei adder4 braucht

--Deklarationsteil--------------------------------------
component adder4
port (a,b : in std_logic_vector(3 downto 0);
s: out std_logic_vector(4 downto 0));

deshalb
--
A! Elbereth Gilthoniel!
silivren penna míriel
o menel aglar elenath,
Gilthoniel, A! Elbereth!

Dieser Post wurde am 25.07.2004 um 20:14 Uhr von Pablo editiert.
 
Profil || Private Message || Suche Download || Zitatantwort || Editieren || Löschen || IP
024
25.07.2004, 20:18 Uhr
Tommi Bisic



Und zum Auschnitt der Simulation wo doe Rechning dargestellt wird kannste mir nicht weiterhelfen oder?
 
Profil || Private Message || Suche Download || Zitatantwort || Editieren || Löschen || IP
025
25.07.2004, 20:23 Uhr
Pablo
Supertux
(Operator)


was willst du wissen?/Wie kann ich helfen?
--
A! Elbereth Gilthoniel!
silivren penna míriel
o menel aglar elenath,
Gilthoniel, A! Elbereth!

Dieser Post wurde am 25.07.2004 um 20:23 Uhr von Pablo editiert.
 
Profil || Private Message || Suche Download || Zitatantwort || Editieren || Löschen || IP
026
25.07.2004, 20:25 Uhr
Tommi Bisic



das was bei Aufg 1c) als zweites verlangt wir nämlich
- Ein Ausschnitt aus der Simulation, in der die Rechnung
"1010"+"1000"="10010" (binär) bzw. „A“ + „8“ = „12“
(hexadezimal) zu sehen ist.

Wie bekomme ich diesen Auschnitt mit meinem Programm?
Muss ich da was am Code ändern?
 
Profil || Private Message || Suche Download || Zitatantwort || Editieren || Löschen || IP
027
25.07.2004, 20:34 Uhr
Pablo
Supertux
(Operator)


hmmm, ich verstehe nicht ganz, was dein Proff damit meint. Ich hab Simulationen mit anderen Programmen gemacht. Aber ich glaube nicht, dass du etwas änderst sollst.
--
A! Elbereth Gilthoniel!
silivren penna míriel
o menel aglar elenath,
Gilthoniel, A! Elbereth!
 
Profil || Private Message || Suche Download || Zitatantwort || Editieren || Löschen || IP
028
25.07.2004, 20:38 Uhr
Tommi Bisic



Ok, kannste mir dann vielleicht sagen bei der Aufg 2a) mit dem Moore-SW
Was als Ausgang bei
pre_le
idle
und pre_ri
steht?
Ich denke bei left muss es 00 sein
bei right 11.
 
Profil || Private Message || Suche Download || Zitatantwort || Editieren || Löschen || IP
029
25.07.2004, 20:47 Uhr
Pablo
Supertux
(Operator)


tut mir leid, ich kapiere die Aufgabe auch nicht ganz. Wie breit soll data sein?
--
A! Elbereth Gilthoniel!
silivren penna míriel
o menel aglar elenath,
Gilthoniel, A! Elbereth!
 
Profil || Private Message || Suche Download || Zitatantwort || Editieren || Löschen || IP
Seiten: [ 1 ] [ 2 ] > 3 < [ 4 ]     [ Allgemeines (OffTopic) ]  


ThWBoard 2.73 FloSoft-Edition
© by Paul Baecher & Felix Gonschorek (www.thwboard.de)

Anpassungen des Forums
© by Flo-Soft (www.flo-soft.de)

Sie sind Besucher: